Asking Myself

育児と技術と英語と日常のこと ※古い記事は音声入力で書いたので日本語カオスです

Python→VerilogHDLをしてみたい。

いくつかツールあるみたいやけど、直接変換するツール使うか、Cを介するかしたらいいんかな。 まだ成熟してないんやろうな。はやく、使えるものでてきてほしい。https://pypi.python.org/pypi/pycoram numpyの色々をhdlに変換できたら、便利なんやろうな。

pypi.python.org

kesin.hatenablog.com

こんなんつかったあと、XilinxのやつとかでVerilogにしたらいいんかも。